最近常去逛的店歇業了,之後就好少到那邊晃啦,

可是朋友一直問我CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 那裡買比較便宜!

上網幫他查了CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 相關的評價,推薦,開箱文,價格,報價,比較,規格,推薦那!

經過多方比較後,發現CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 居然曾造成搶購熱潮,

價格也很實在,重點是買的安心,到貨的速度還滿快的,

不用出門送到家。還有超級大重點,比超商便宜!!

一拿到之後為之驚艷,CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) CP值超高!。


↓↓↓限量特惠的優惠按鈕↓↓↓

我要購買

商品訊息功能

商品訊息描述

 

 

 
 



     
  •  





     

    《CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟)》

    ●本書是以美國ALTERA公司MAX+plusII軟體為發展工具,並以VHDL硬體描述語言為設計基礎,重點說明數位電路設計的概念,內容涵蓋最完整的週邊應用,如LED、矩陣型LED、七段顯示器、LCD模組、按鍵開關、蜂鳴器、直流馬達、步進馬達、伺服馬達等。
    ●本書內容深入淺出,由最簡單的數位邏輯電路引導學習者快速建立VHDL硬體描述語言的設計基礎到最後完整的專題電路應用範例的實務經驗教學。適合作為高職及大專院校電子、電機、資訊等專業相關課程的教材,對於從事電子工程設計的科技人員也是極佳的參考書。
    ●本書強調數位邏輯電路的設計實務,藉由實作方式來介紹 VHDL 硬體描述語言的設計技巧,範例充實且應用生活化,學習者可組合書中部份程式輕鬆快速的完成專題電路設計。
    ●所有實習單元內容,從軟體設計、波形模擬除錯到硬體電路製作,皆有詳細圖解說明。
    ●程式模組化設計,由淺入深的範例編排,以硬體觀念組合書中部份程式,即可完成專題電路。
    ●每個實習單元完成後,皆有與本單元相關的練習,立即評量以加強學習效果。
    ●階段式學習編排,從圖形(Graphic)編輯設計、符號(Symbol)編輯設計、波形(Waveform)編輯設計及文字(Text)編輯設計等皆有詳細範例說明,適合新手及進階的學習。
     






     




    CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟)-目錄導覽說明
     
    • 本季推薦



    •  
    • 書籍內容主要分為三部份簡介如下:
      第一部份介紹MAX+plusII開發工具的使用及VHDL語言的設計基礎
      第二部份說明數位邏輯IC的設計,如基本邏輯閘、加法器、減法器、編碼器、解碼器、多工器、解多工器、比較器、正反器、暫存器、計數器、狀態機等。
      第三部份說明常用週邊元件的應用電路設計,如LED、按鍵開關、七段顯示器、矩陣型LED、LCD模組、蜂鳴器、直流馬達、步進馬達、伺服馬達等。

      chapter 1CPLD 簡介
      1-1 何謂CPLD
      1-2 Max+PlusⅡ軟體下載與安裝
      1-3 Max+PlusⅡ的License設定
      1-4 安裝Altera Byteblaster燒錄驅動程式(Windows XP)
      chapter 2圖形編輯設計
      2-1 圖形編輯快速入門
      2-2 圖形編輯的階層式設計
      chapter 3VHDL語言基礎
      3-1 前言
      3-2 VHDL基本架構
      3-3 VHDL資料型態
      3-4 運算子
      3-5 VHDL資料物件
      3-6 VHDL的敘述語法
      chapter 4組合邏輯電路
      4-1 基本邏輯閘
      4-2 組合邏輯
      chapter 5順序邏輯電路
      5-1 前言
      5-2 RS閂
      5-3 正反器
      5-4 暫存器
      5-5 計數器
      5-6 狀態機
      5-7 計時脈波
      chapter 6LED實習
      6-1 相關知識
      6-2 單燈右移
      6-3 霹靂燈
      6-4 交通號誌
      chapter 7按鍵控制實習
      7-1 相關知識
      7-2 指撥開關控制LED亮/暗實習
      7-3 指撥開關控制LED閃爍速度實習
      7-4 指撥開關控制(三)實習
      7-5 指撥開關控制LED變化實習
      7-6 按鍵開關控制LED左、右移位實習
      7-7 按鍵開關控制LED變化實習
      7-8 矩陣鍵盤控制實習
      chapter 8七段顯示器實習
      8-1 相關知識
      8-2 一位數BCD上數計數器
      8-3 二位數BCD上數計數器
      8-4 四位數BCD上數計數器
      8-5 24小時制電子鐘
      8-6 按鍵開關控制一位數BCD計數器
      8-7 按鍵開關控制二位數BCD計數器
      8-8 按鍵開關控制四位數BCD計數器
      8-9 矩陣鍵盤控制四位數七段顯示器
      chapter 9矩陣型LED控制實習
      9-1 相關知識
      9-2 靜態字元顯示控制實習
      9-3 靜態字串顯示控制實習
      9-4 動態字元顯示控制實習
      9-5 動態字串顯示控制實習
      9-6 電梯顯示面板(一)控制實習
      9-7 電梯顯示面板(二)控制實習
      chapter 10文字型LCD模組控制實習
      10-1 相關知識
      10-2 LCM內建字型顯示控制實習
      10-3 LCM自建字型顯示控制實習
      10-4 LCM移位字型顯示控制實習
      10-5 LCM計時器實習
      10-6 LCM數字鐘實習
      chapter 11步進馬達控制實習
      11-1 相關知識
      11-2 步進馬達1相激磁正轉控制實習
      11-3 步進馬達1-2相激磁正轉控制實習
      11-4 步進馬達正轉、反轉控制實習
      11-5 步進馬達正轉、反轉、加速、停止控制實習
      11-6 步進馬達正轉、反轉、加速、減速控制實習
      11-7 可設定步進數之步進馬達控制實習
      chapter 12伺服馬達控制實習
      12-1 相關知識
      12-2 固定角度型伺服機控制實習(一)
      12-3 固定角度型伺服機控制實習(二)
      12-4 連續旋轉型伺服機控制實習
      chapter 13直流馬達控制實習
      13-1 相關知識
      13-2 直流馬達轉速及轉向控制實習
      13-3 智慧型風扇實習
      13-4 單鍵控制智慧風扇實習
      13-5 LED調光器實習
      13-6 拖尾效果霹靂燈實習
      chapter 14類比/數位(A/D)介面實習
      14-1 相關知識
      14-1-1感測器
      14-2 A/D轉換器
      14-3 0~5V數位直流電壓表
      14-4 0~50○C數位溫度計
      chapter 15數位/類比(D/A)介面實習
      15-1 相關知識
      15-2 D/A轉換器
      15-3 指撥開關設定0~5V電源供應器
      15-4 鍵盤設定0~5V電源供應器
      chapter 16聲音控制實習
      16-1 相關知識
      16-2 單音產生器
      16-3 救護車聲音產生器
      16-4 電子琴電路
      16-5 電子音樂盒
      Appendix ACPLD燒錄介面電路
      A-1 ByteBlaster II燒錄介面電路
      A-2 44-Pin / 84-Pin腳位圖
       



    •  

     




    刷卡可分期


     






    語言:中文繁體
    規格:平裝
    分級:普級
    開數:16開19*26cm
    頁數:436

    出版地:台灣


     

     








商品訊息特點
 









     
  • 作者:楊明豐

    追蹤



     









  •  
  • 出版社:碁峰資訊

    出版社追蹤

    功能說明

     





  •  
  • 出版日:2010/11/8



  •  
  • 快速到貨網拍







  •  
  • ISBN:9789861819464



  •  
  • 網友



  •  
  • 語言:非逛不可 中文繁體





  •  
  • 適讀年齡:全齡適讀







  •  
暢銷

↓↓↓限量特惠的優惠按鈕↓↓↓

我要購買

CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 討論,推薦,開箱,CP值,熱賣,團購,便宜,優惠,介紹,排行,精選,特價,周年慶,體驗,限時

以下為您可能感興趣的商品

注意:下方具有隨時更新的隱藏版好康分享,請暫時關閉adblock之類的廣告過濾器才看的到哦!!


 

鉅亨網編譯郭照青這裡最便宜

根據 CNBC 分析報導,分析師說,美元週五進一步壓低了新興市場貨幣,這走勢恐不會很快結束。

自川普意外勝選以來,美元即風光上揚。川普政府可望積極推出財政振興措施,引發了通貨膨脹預期,推升了債券殖利率,亦增加了美元需求。

巴克萊銀行分析師 Mitul Kotecha 說,這是美元的環境。預期美國經濟成長將領先其他國家,且可能持續至明年底,這很難讓人看空美元。預期短期內,衡量美元兌一籃貨幣走勢的美元指數,將再上漲數個百分點。

週五亞洲盤,美元指數上漲至 101.32,創至少五年高點。川普勝選前,美元指數猶低於 97。

美元的強勢亦將使已然受創的新興市場貨幣,持續受到壓力。尤其是可能遭到美國貿易制裁的貨幣。川普於競選時承諾,將對美國貿易夥伴,包括中國與墨西哥,採取制裁措施。

川普會做到何種程度,目前不得而知。但新興市場貨幣的正面環境似乎暫時受到了重創。

然而並非每個人都同意在川普執政下,預期美國經濟將一路順暢。

德意志銀行分析師貝格 (Taimur Baig) 在本週發布的報告中說:「市場更加預期美國經濟將能平穩,我們並不完全採信。」

但市場的確廣泛預期美國將進一步走強。

本週稍早,野村證券調查了 182 位客戶,發現他們預期,未來二個月,美元指數將再上漲 5%。野村報告並說,他們還預期美國 10 年期債券殖利率明年將邁向 3%。
 

(中央社記者李宇政台北21日電)行政立法政策協調會報今天討論「國民體育法」部分條文修正草案,未來將有仲裁專責機構處理體育紛爭。體育署副署長林哲宏表示,這將是台灣體育第一次有仲裁機構。

行政立法政策協調會報討論「國民體育法」部分條文修正草案,「國民體育法」修正要點之一,為處理體育團體與教練選手間紛爭,保護相關人員權利,增訂由中華奧會、中華民國體育運動總會及中央主管機關共同設立專責仲裁機構;草案將在本會期送立法院審議。

體育署表示,體育署所擬「國民體育法」修法草案於10月19日提報教育部法規會議審議通過,後續報送行政院提送立法院審議。

林哲宏說,中央主管機關是教育部,這將是台灣體育第一次有仲裁機構,參考國際體育仲裁法庭(CAS)的組織。林哲宏說,日本在2003年成立日本體育仲裁機構(JSAA),是亞洲第一個有體育仲裁機構的國家,這也是國際趨勢,希望選手、教練受到正面看待,而台灣體育仲裁機構的相關辦法,未來再繼續討論。

中華奧會主席林鴻道表示,這個機制是好的,但一定要有配套;中華民國體育運動總會長張朝國表示,追求公平正義,義不容辭,樂觀其成,但不希望只是成為橡皮圖章。1051121

下面附上一則新聞讓大家了解時事
 

基隆市政府將於十八日上午八點五十分,在七堵區姜子寮登山步道入口處舉行成年禮登山活動,活動特別邀請內政部長官蒞臨指導,另有林右昌市長、市府及所屬機關主管、全市各高中職校長以及民意代表等嘉賓,共同祝福青年學子們破繭而出、展翅飛翔。

市府民政處表示,成年禮登山活動由全市十一所高中職學校、二百一十四位暢銷排行學生共襄盛舉,青年學子代表於行前宣誓後,開始攀登姜子寮登山步道,該步道全長來回約一點七公里,沿途以定點導覽方式設置五到七站,由基隆市野鳥學會為青年學子們進行生態解說,市府亦準備紀念品致贈參加之學生。

姜子寮山是基隆最高峰,同時也是台灣小百岳之一,本次在此舉辦成年禮活動,是以環境、文化與生態教育為訴求,期許青年學子們在登頂過程中,一步一腳印靠自己的力量逐步克服挑戰,並代表成年階段的開始,藉此展現青年學子們活躍的生命力,也拉近對基隆這塊土地的認同感。

民政處也表示,活動當天泰安產業道路及泰安瀑布前廣場將進行交通疏導,時間從當日上午七點起到下午一點三十分止,該時段請用路人依現場交通引導人員指示通行,另為使活動順利進行,請當日登山之民眾勿於泰安瀑布前廣場及姜子寮登山步道入口處大停車場停車,造成不便之處,敬請見諒。

CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 推薦, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 討論, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 部落客, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 比較評比, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 使用評比, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 開箱文, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟)?推薦, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 評測文, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) CP值, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 評鑑大隊, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 部落客推薦, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 好用嗎?, CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 去哪買?


 

 

arrow
arrow

    nn9fnhfh7 發表在 痞客邦 留言(0) 人氣()